11 research outputs found

    Performance Analysis of NAND Flash Memory Solid-State Disks

    Get PDF
    As their prices decline, their storage capacities increase, and their endurance improves, NAND Flash Solid-State Disks (SSD) provide an increasingly attractive alternative to Hard Disk Drives (HDD) for portable computing systems and PCs. HDDs have been an integral component of computing systems for several decades as long-term, non-volatile storage in memory hierarchy. Today's typical hard disk drive is a highly complex electro-mechanical system which is a result of decades of research, development, and fine-tuned engineering. Compared to HDD, flash memory provides a simpler interface, one without the complexities of mechanical parts. On the other hand, today's typical solid-state disk drive is still a complex storage system with its own peculiarities and system problems. Due to lack of publicly available SSD models, we have developed our NAND flash SSD models and integrated them into DiskSim, which is extensively used in academe in studying storage system architectures. With our flash memory simulator, we model various solid-state disk architectures for a typical portable computing environment, quantify their performance under real user PC workloads and explore potential for further improvements. We find the following: * The real limitation to NAND flash memory performance is not its low per-device bandwidth but its internal core interface. * NAND flash memory media transfer rates do not need to scale up to those of HDDs for good performance. * SSD organizations that exploit concurrency at both the system and device level improve performance significantly. * These system- and device-level concurrency mechanisms are, to a significant degree, orthogonal: that is, the performance increase due to one does not come at the expense of the other, as each exploits a different facet of concurrency exhibited within the PC workload. * SSD performance can be further improved by implementing flash-oriented queuing algorithms, access reordering, and bus ordering algorithms which exploit the flash memory interface and its timing differences between read and write requests

    TERPS: The Embedded Reliable Processing System

    Get PDF
    TERPS is a fault-tolerant computer design that significantly reduces the threat of electromagnetic interference (EMI), using hardware checkpoint/rollback-recovery. TERPS tolerates EMI by periodically checkpointing processor state into a special safe-storage device. The detection of EMI invokes rollback, which recovers processor state from a previously check-pointed state and resumes normal execution. Rollback results in loss of performance dictated by the EMI duration; TERPS ensures forward progress of the system provided EMI events are separated by some minimum time interval (e.g., at least 5.12μs for our prototype processor running at 100MHz). The performance overhead of our mechanism is reasonable: 5–6% overhead when checkpointing every 128 processor cycles

    Radio Frequency Effects on the Clock Networks of Digital Circuits

    Get PDF
    Radio frequency interference (RFI) can have adverse effects on commercial electronics. Current properties of high performance integrated circuits (ICs), such as very small feature sizes, high clock frequencies, and reduced voltage levels, increase the susceptibility of these circuits to RFI, causing them to be more prone to smaller interference levels. Also, recent developments of mobile devices and wireless networks create a hostile electromagnetic environment for ICs. Therefore, it is important to measure the susceptibility of ICs to RFI. In this study, we investigate the susceptibility levels to RFI of the clock network of a basic digital building block. Our experimental setup is designed to couple a pulse modulated RF signal using the pin direct injection method. The device under test is an 8-bit ripple counter, designed and fabricated using AMI 0.5 μm process technology. Our experiments showed that relatively low levels of RFI (e.g., 16.8 dBm with carrier frequency of 1 GHz) could adversely affect the normal functioning of the device under test

    Electromagnetic Interference and Digital Circuits: An Initial Study of Clock Networks

    No full text
    Radio Frequency Interference (RFI) can have adverse effects on commercial electronics. Current properties of high performance integrated circuits (IC’s), such as very small feature sizes, high clock frequencies, and reduced voltage levels, increase the susceptibility of these circuits to RFI, causing them to be more prone to smaller RFI levels. Besides, recent developments of mobile devices and wireless networks create a hostile electromagnetic environment for IC’s. Therefore, it is important to generalize the susceptibility of IC’s to RFI. In this study, we investigate the susceptibility levels and frequency ranges of RFI to the clock network of a digital device, an 8-bit ripple counter, designed and fabricated using AMI 0.5 µ m process technology. Our experimental setup is designed to couple a pulse modulated RF signal using the direct pin injection method. Our experiments show that relatively low levels of RFI (e.g., 16.8 dBm delivered RF peak power with carrier frequency of 1 GHz) could adversely affect the normal functioning of the device under test. In the end, SPICE simulations show the sensitivity of a flip-flop, the basic building block of clock network, which further explains the experimental results

    The Embedded Reliable Processing System (TERPS) — A Robust Architecture that Achieves Forward Progress in Near-Continuous Electromagnetic Interference

    No full text
    We present a fault-tolerant computer architecture that significantly reduces the threat of electromagnetic interference (EMI) by employing an aggressive hardware checkpoint and rollback recovery mechanism that is transparent to application software. Our mechanism periodically checkpoints processor state by saving it into a special safe-storage device; EMI detection results in the mechanism recovering the processor state from a previously checkpointed state and resuming execution at that point. The presence of EMI results only in loss of performance dictated by the EMI duration, and our mechanism ensures forward progress as long as EMI events are separated by a minimum time (e.g., at least 5.12 µs for our prototype processor). We present several different configurations for the handling of fault-tolerant I / O that provide designers freedom to balance fault-tolerance and complexity considerations and, at the minimum, require only an additional OS interrupt handler that performs device reconfiguration after rollback recovery. We present a proof-of-concept prototype fabricated through MOSIS and validated by direct injection of EMI through the processor’s clock pin—a disturbance that woul

    TERPS: The Embedded Reliable Processing System

    No full text
    Abstract — TERPS is a fault-tolerant computer design that significantly reduces the threat of electromagnetic interference (EMI), using hardware checkpoint/rollback-recovery. TERPS tolerates EMI by periodically checkpointing processor state into a special safe-storage device. The detection of EMI invokes rollback, which recovers processor state from a previously check-pointed state and resumes normal execution. Rollback results in loss of performance dictated by the EMI duration; TERPS ensures forward progress of the system provided EMI events are separated by some minimum time interval (e.g., at least 5.12µs for our prototype processor running at 100MHz). The performance overhead of our mechanism is reasonable: 5–6 % overhead when checkpointing every 128 processor cycles. I

    Radio Frequency Effects on the Clock Networks of Digital Circuits

    No full text
    Abstract — Radio frequency interference (RFI) can have adverse effects on commercial electronics. Current properties of high performance integrated circuits (ICs), such as very small feature sizes, high clock frequencies, and reduced voltage levels, increase the susceptibility of these circuits to RFI, causing them to be more prone to smaller interference levels. Also, recent developments of mobile devices and wireless networks create a hostile electromagnetic environment for ICs. Therefore, it is important to measure the susceptibility of ICs to RFI. In this study, we investigate the susceptibility levels to RFI of the clock network of a basic digital building block. Our experimental setup is designed to couple a pulse modulated RF signal using the pin direct injection method. The device under test is an 8-bit ripple counter, designed and fabricated using AMI 0.5 µm process technology. Our experiments showed that relatively low levels of RFI (e.g., 16.8 dBm with carrier frequency of 1 GHz) could adversely affect the normal functioning of the device under test
    corecore